30,99 €
inkl. MwSt.
Versandkostenfrei*
Versandfertig in 6-10 Tagen
payback
15 °P sammeln
  • Broschiertes Buch

Neste artigo é apresentada uma implementação de encoder e circuito decodificador Huffman com clock gated. O circuito Huffman é projetado com relógio bloqueado como ele otimizou a dissipação de energia sem degradar o desempenho. Este artigo tem como objetivo implementar, analisar e comparar os vários recursos de energia usando técnicas de clock gating para design Huffman em uma biblioteca de 130 nm. A tecnologia usada neste papel é circuito de relógio fechado usando tipos diferentes de clock gating para obter o melhor desempenho para o projeto Huffman. O relógio fechado é usado para controlar o…mehr

Produktbeschreibung
Neste artigo é apresentada uma implementação de encoder e circuito decodificador Huffman com clock gated. O circuito Huffman é projetado com relógio bloqueado como ele otimizou a dissipação de energia sem degradar o desempenho. Este artigo tem como objetivo implementar, analisar e comparar os vários recursos de energia usando técnicas de clock gating para design Huffman em uma biblioteca de 130 nm. A tecnologia usada neste papel é circuito de relógio fechado usando tipos diferentes de clock gating para obter o melhor desempenho para o projeto Huffman. O relógio fechado é usado para controlar o codificador e o circuito de decodificador. Os resultados do desenho mostram que usar E a técnica de gating de relógio baseada é melhor do que trancar o gating de relógio baseado. Ele reduz a potência e a área mais do que o acoplamento por clock baseado em trava. O projeto de Huffman proposto é implementado usando metodologias de design ASIC com 130 nm biblioteca de tecnologia. Inteligência artificial foi usada para traduzir este livro.
Autorenporträt
Maan Hameed - Master in Computer- und Embedded-Systemtechnik. Forschungsinteressen umfassen Low-Power-Digitaldesign, Datenkompression und FPGA-Technologie. Ministerium für Wasserressourcen, Staatliche Kommission für Speicher und Dämme, Irak.